Anlık Bildirim

Intel artık zirvede: İşte Intel 18A (1.8nm), 14A (1.4nm) ve yeni yol haritası

Intel, yapay zeka çağına yönelik dünyanın ilk sistem dökümhanesi olarak faaliyete geçiyor. Firma, Intel 18A (1.8nm), Intel 14A (1.4nm), Intel 3 gelecek planlarını açıkladı.

Intel artık zirvede: Intel 18A, 14A, Intel 3 yeni yol haritası Tam Boyutta Gör

Intel, düzenlediği Intel Foundry Services (IFS) Direct Connect 2024 etkinliği kapsamında sektör için çok önemli açıklamalarda bulundu. Firma, Intel Foundry birimi ile diğer rakiplerine üretim yapma arzusunu beyan ederken Microsoft ile 15 milyar dolardan fazla bir değere sahip olan bir çip üretim anlaşması yaptı. Intel ayrıca sektörün ilk High-NA EUV kullanan yeni 14A üretim sürecini içeren ilk yol haritasını açıklayarak tüm rakiplerinden önde olduğunu gösterdi.

Intel, ilk olarak bugüne kadar Intel Foundry Services olarak bilinen üretim biriminin adını değiştirerek Intel Foundry yaptı. Bu birim için yıl sonuna kadar tüzel kişilik oluşturulacak. Intel Foundry, 2030 yılına kadar Samsung’u geçerek dünyanın en büyük ikinci dökümhanesi olmayı hedefliyor. Firma, Intel Foundry’yi dünyanın ilk “sistem dökümhanesi” olarak nitelendiriyor. Bunun nedeni Intel’in bu birimiyle şirketlere çeşitli türlerde işlemciler üretecek olması ve aynı zamanda paketleme, soğutma ve bağlantı çözümleri sağlayacak olması.

Intel artık zirvede: Intel 18A, 14A, Intel 3 yeni yol haritası Tam Boyutta Gör
Yeni yol haritasına geçemeden önce Intel’in 4 yılda 5 üretim süreci hedeflerinin gerçekleşmek üzere olduğunu görüyoruz. 2021’de başlayan plan kapsamında Intel 7 ve Intel 4 süreçleri piyasada, Intel 3 yüksek hacimli üretime hazır durumdayken Intel 20A (2nm) ve Intel 18A (1.8nm) için PowerVia arka taraf güç dağıtımı ve RibbonFET gate-all-around (GAA) transistörlere sahip Intel'in ilk süreçleri olma yolunda hızla ilerliyor.

Intel, Clearwater Forest Xeon E-Core CPU'larında sona geldiğini ve 18A'nın 2024 yılının 2. çeyreğinde tam ürün tasarımı için hazır olduğunu açıkladı. Intel, Clearwater Forest hakkında son birkaç haftadır duyduğumuz birkaç bilgiyi de doğruladı. Bunlarda aşağıdaki teknolojileri göreceğiz:

  • PowerVia
  • RibbonFET
  • Intel 3 temel kalıbı
  • EMIB
  • Foveros 3D istifleme

Clearwater Forest tasarımı, Granite Rapids ve Sierra Forest işlemcilerde gördüğümüz mimariler ile benzer ancak 3D Foveros paketlemesinin eklenmesi çok önemli. Mantık kalıplarını temel kalıpla bira araya getirmek HBM4 kullanan çiplerde kritik öneme sahip olacak. Geniş açıdan bakıldığında bunların bellek bant genişliğine aç yapay zeka çipleri için önemli olduğu görülebiliyor. Clearwater Forest ayrıca Chiplet Interconnect Express'i (UCIe) kullanan ilk yüksek hacimli aile olacak. Intel, AMD, Arm, Nvidia, TSMC, Samsung ve diğer 120 şirket tarafından desteklenen bu arayüz, iki yonga arasındaki kalıptan kalıba ara bağlantıları açık kaynaklı hale getirerek standartlaştırıyor.

Intel 14A süreci ve gelecek yol haritası

Intel artık zirvede: Intel 18A, 14A, Intel 3 yeni yol haritası Tam Boyutta Gör
4 yılda 5 üretim süreci hedefinin sonraki aşamasını gösteren firma bizlere Intel 14A sürecini sergiledi.

14A sürecinin duyurulması, Intel'in 'beş yılda dört süreç olarak bilinen ve artık neredeyse tamamlanmış olan yol haritasını takip ediyor. Bu yol haritası 12., 13. ve 14. Nesil işlemcilerin yapımında kullanılan Intel 7 sürecinin piyasaya sürülmesiyle başladı. Meteor Lake ailesinde Intel 4 sürecinin kullanıldığını gördük. Intel 3, Sierra Forest ve Granite Rapids Xeon aileleri için kullanıldığından tüketiciler nezdinde pek görülmedi.

20A, Intel'in yılın ilerleyen zamanlarında çıkması ve mevcut 14. Nesil masaüstü serisinin yerini alması beklenen Arrow Lake CPU ailesini oluşturmak için kullanacağı süreç olacak. 20A'nın ötesinde, 2024 yılında üretime başlaması planlanan 18A yer alıyor. Intel'in yeni nesil Panther Lake CPU ailesinin bu süreç üzerinde inşa edilmesi bekleniyor

Öte yandan Intel 14A oldukça önemli çünkü ASML'nin High-NA EUV litografi makinelerini kullanan ilk süreç teknolojisi olacak. Yeni nesil High-NA EUV makinelerinin tanesi 400 milyon dolar ve Intel, bu makinelere ilk erişen şirket konumunda. Intel, 14A sürecinin 2027’ye kadar geleceğini belirtse de herhangi bir performans detayı ve hedefi paylaşmadı. Bununla birlikte, yeni nesil PowerVia arka taraf güç dağıtımı (muhtemelen Source-on-Contact) ve RibbonFET GAA transistörleri ile geleceği belirtiliyor.

İlginç bir şekilde yol haritasında iki çeşit ürün görünüyor: 14A ve ardından 14A-E. E, Intel'in TSMC ve Samsung'da olduğu gibi mevcut süreç düğümlerini geliştiren yineleme (TSMC N3, N3E vb.) yaklaşımı anlamına geliyor.

Bu arada Intel sadece “E” takısını değil ayrıca "P" ve "T" eklerini de gösteriyor. "P", standart varyantına göre %10'a varan kazanımlarla daha yüksek performansı temsil ederken "T", 3D Foveros Direct teknolojisinin bir parçası olacak TSV'lerin veya Through-Silicon Vias'ların kullanımını temsil edecek.

Kaynakça https://wccftech.com/intel-adds-14a-process-node-to-its-roadmap-updates-to-18a-intel-3-nodes-unveiled/ https://www.intc.com/news-events/press-releases/detail/1675/intel-launches-worlds-first-systems-foundry-designed-for https://www.tomshardware.com/pc-components/cpus/intel-announces-new-roadmap-at-ifs-direct-connect-2024-new-14a-node-clearwater-forest-taped-in-five-nodes-in-four-years-remains-on-track Bu haberi, mobil uygulamamızı kullanarak indirip,
istediğiniz zaman (çevrim dışı bile) okuyabilirsiniz:
DH Android Uygulamasını İndir DH iOS Uygulamasını İndir
Önceki Haftalar
Tüm Zamanların En İyi Yorumcuları
ANLIK GÖRÜNTÜLEMELER
1 Kişi Okuyor (0 Üye, 1 Misafir) 1 Masaüstü

GENEL İSTATİSTİKLER
5279 kez okundu.
14 kişi, toplam 15 yorum yazdı.

HABERİN ETİKETLERİ
intel, Intel 18A ve
Sorgu:

Editörün Seçtiği Sıcak Fırsatlar

Sıcak Fırsatlar Forumunda Tıklananlar

Tavsiyelerimiz

Yeni Haber
şimdi
Geri Bildirim